FIRST Tech Challenge Discussions

cancel
Showing results for 
Search instead for 
Did you mean: 

unable to rename DC Motors in Schematic Editor

Solved!
Go to solution

Help About status checks confirm: LVLM 12.0.1 f5;  NXT Module  SP1 f2; and MCT 2013-2014

Running Windows 8.

LVLM was from online download (not the disc)

I am unable to rename the DC Motors in the Schematic Editor. I can rename all other kinds of things (motor controllers, servos, sensors, etc...)

It used to work to rename them in LVLM 2010.

Seeing as the 'generate code' function is having problems, and vi's are having troubles 'linking' at times (ie. showing 'greyed out' constants for the DC Motor blocks). Could there be a connection?

Can anyone 'rename' them, and then perhaps they're not having these other problems? I would love some feedback from other users.

Thanks.

0 Kudos
Message 1 of 3
(3,330 Views)
Solution
Accepted by topic author mathterizer

press shift+enter after rename.

Message 2 of 3
(2,792 Views)

Jerry:

Thank you, that worked!

I have never had to do that shift+enter before when renaming things. Should I always do that anyway?

I'm looking forward to testing that approach to see if it resolves any other problems I've been having.

Thanks again!

UPDATE: Well, it ddn't help the 'generate code' problems. However, the renaming is working and showing up in the 'constant' list after I 'generate code', then 'ctl-e, ctl-s, ctl-w;, re-edit and re-reference all motors...etc...

It's a hassle we can deal with, but it sure does make it hard to let the noobies play around to learn like we're used to doing. .  I still hold out hope that when I install from the disc some things will improve. (In the meantime, I'm still having the noobs play with the 2010 version.)

0 Kudos
Message 3 of 3
(2,792 Views)